High-resolution and all-digital on-chip delay measurement with low supply sensitivity for SoC applications

نویسندگان

  • Duo Sheng
  • Ching-Che Chung
  • Hsiu-Fan Lai
  • Shu-Syun Jhao
چکیده

An all-digital on-chip delay measurement (OCDM) architecture with high delay measurement resolution and low supply voltage sensitivity for efficiently detection and diagnosis in the high performance system-on-chip (SoC) applications is presented. Based on the proposed differential delay line pair (DDLP) and an cascadestage delay line, the quantization resolution of the proposed OCDM not only has a high immunity to supply voltage variations without an extra self-biasing or calibration circuit, but also achieves to several picoseconds. Simulation results show that delay measurement resolution can be improved to 1.04 ps, and the average delay resolution variation is 11 fs with ±10% supply voltage variations. In addition, the proposed design can be implemented in all-digital design manner, making it very suitable for SoC applications as well as system-level integration.

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

منابع مشابه

A High Resolution On-Chip Delay Sensor with Low Supply-Voltage Sensitivity for High-Performance Electronic Systems

An all-digital on-chip delay sensor (OCDS) circuit with high delay-measurement resolution and low supply-voltage sensitivity for efficient detection and diagnosis in high-performance electronic system applications is presented. Based on the proposed delay measurement scheme, the quantization resolution of the proposed OCDS can be reduced to several picoseconds. Additionally, the proposed cascad...

متن کامل

Design of a Fuzzy Controller Chip with New Structure, Supporting Rational-Powered Membership Functions

In this paper, a new structure possessing the advantages of low-power consumption, less hardware and high-speed is proposed for fuzzy controller. The maximum output delay for general fuzzy logic controllers (FLC) is about 86 ns corresponding to 11.63 MFLIPS (fuzzy logic inference per second) while this amount of the delay in the designed fuzzy controller becomes 52ns that corresponds to 19.23 M...

متن کامل

Low Settling Time All Digital DLL For VHF Application

Settling time is one of the most important parameter in design of DLLs. In this paper we propose a new high speed with low settling time Delay Locked Loop (DLL) in which a digital signal processor (DSP) is used instead of using phase-frequency detector, charge pump and loop filter in conventional DLL. To have better settling time, PRP conjugate gradient algorithm is used to optimize delay of ea...

متن کامل

An All-Digital and Wide-Range Reference Clock Generator for Biotelemetry Applications

In this paper, an all-digital and low-power reference clock generator with cell-based design for biotelemetry applications is presented. The proposed clock generator employs a cascade-stage structure to achieve high resolution and wide range at the same time. Besides, based on the proposed Schmitt-trigger-based delay cell (STDC), hysteresis delay cell (HDC), and digitally controlled varactor (D...

متن کامل

Design and Implementation of Digital Demodulator for Frequency Modulated CW Radar (RESEARCH NOTE)

Radar Signal Processing has been an interesting area of research for realization of programmable digital signal processor using VLSI design techniques. Digital Signal Processing (DSP) algorithms have been an integral design methodology for implementation of high speed application specific real-time systems especially for high resolution radar. CORDIC algorithm, in recent times, is turned out to...

متن کامل

ذخیره در منابع من


  با ذخیره ی این منبع در منابع من، دسترسی به آن را برای استفاده های بعدی آسان تر کنید

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

عنوان ژورنال:
  • IEICE Electronic Express

دوره 11  شماره 

صفحات  -

تاریخ انتشار 2014